DEFINED_PHASES=compile install prepare DESCRIPTION=Hardware RNG based on CPU timing jitter EAPI=8 HOMEPAGE=https://github.com/smuellerDD/jitterentropy-library INHERIT=flag-o-matic toolchain-funcs IUSE=static-libs KEYWORDS=~alpha ~amd64 ~arm ~arm64 ~mips ~ppc ~ppc64 ~riscv ~sparc ~x86 LICENSE=BSD SLOT=0/3 SRC_URI=https://github.com/smuellerDD/jitterentropy-library/archive/v3.6.0.tar.gz -> jitterentropy-3.6.0.tar.gz _eclasses_=flag-o-matic f14aba975c94ccaa9f357a27e3b17ffe multilib b2a329026f2e404e9e371097dda47f96 toolchain-funcs d3d42b22a610ce81c267b644bcec9b87 _md5_=e594f700d210ad924c3ce32b942aca6e